BOOK CHAPTERS:

[1] X. Zhang. “Reliable Electrical Systems for Micro Aerial Vehicles and Insect-Scale Robots: Chal- lenges and Progress”. In: Rugged Embedded Systems: Computing in Harsh Environments. Ed. by A. Vega, P. Bose, and A. Buyuktosunoglu. in preprint. Morgan Kaufmann, 2016. Chap. 7.

JOURNALS:

[26] W. Cao, C. Wang, W. Chen, S. Hu, H. Wang, L. Yang, X. Zhang. “Fully integrated parity–time-symmetric electronics.” Nature nanotechnology 17.3 (2022): 262-268.

[25] W. Cao, Y. Zhao, A. Boloor, Y. Han, X. Zhang, L. Jiang. “Neural-PIM: Efficient processing-in-memory with neural approximation of peripherals.” IEEE Transactions on Computers (2021).

[24] C. Zhao, X. Zhang, and R. D. Chamberlain. “Executing Data Integration Effectively and Efficiently Near the Memory.” IEEE Design & Test 39.2 (2021): 65-73.

[23] A. Boloor, K. Garimella, X. He, C. Gill, Y. Vorobeychik, and X. Zhang, “Attacking vision-based perception in end-to-end autonomous driving models,”Journal of Systems Architecture (JSA), vol. 110, p. 101 766, 2020. [link]

[22] W. Cao, L. Ke, A. Chakrabarti, and X. Zhang, “Evaluating neural network-inspired analog-to-digital conversion with low-precision rram,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), pp. 1–1, 2020, Early Access. [link]

[21] W. Cao, X. He, A. Charkrabarti, and X. Zhang, “NeuADC: Neural network-inspired synthesizable analog-to-digital conversion,” IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems (TCAD), vol. 39, no. 9, pp. 1841–1854, 2020. [link]

[20] Z. Chen, H. Zhu, E. Ren, Z. Liu, K. Jia, L. Luo,X. Zhang, Q. Wei, F. Qiao, X. Liu, and H.Yang, “Processing near sensor architecture in mixed-signal domain with CMOS image sensor of convolutional-kernel-readout method,” IEEE Transactions on Circuits and Systems I: RegularPapers (TCAS-I), vol. 67, no. 2, pp. 389–400, 2020. [link]

[19] X. He, W. Lu, K. Liu, G. Yan, and X. Zhang, “A quantitative exploration of collaborative pruning and approximation computing towards energy efficient neural networks,” IEEE Design Test, vol. 37, no. 1, pp. 36–45, 2020. [link]

[18] W. Yan, N. Zhang, L. Njilla, andX. Zhang, “PCBChain: Lightweight reconfigurable blockchain primitives for secure iot applications,”IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 28, no. 10, pp. 2196–2209, 2020. [link]

[17] A. Zou, J. Leng, X. He, Y. Zu, C. D. Gill, V. J. Reddi, and X. Zhang, “Voltage-stacked power delivery systems: Reliability, efficiency, and power management,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 39, no. 12, pp. 5142–5155, 2020. [link]

[16] X. He, W. Lu, G. Yan, andX. Zhang, “Joint design of training and hardware towards efficient and accuracy-scalable neural network inference,” IEEE Journal on Emerging and Selected Topicsin Circuits and Systems (JETCAS), vol. 8, no. 4, pp. 810–821, 2018. [link]

[15] Gu, Yunfei, Dengxue Yan, Vaibhav Verma, Pai Wang, Mircea Stan, and Xuan Zhang. “Exploiting Read/Write Asymmetry to Achieve Opportunistic SRAM Voltage Switching in Dual-Supply Near-Threshold Processors.” J. Low Power Electron. Appl. (2018). [link]

[14] He, Xin, Wenyan Lu, Guihai Yan, and Xuan Zhang. “Joint Design of Training and Hardware Towards Efficient and Accuracy-Scalable Neural Network Inference.” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (2018). [link]

[13] Kondapalli, Sri Harsha, Xuan Zhang, and Shantanu Chakrabartty. “Energy-Dissipation Limits in Variance-Based Computing.” Fluctuation and Noise Letters 17, no. 02 (2018): 1850013. [link]

[12] Lok, Mario, Elizabeth Farrell Helbling, Xuan Zhang, Robert Wood, David Brooks, and Gu-Yeon Wei. “A low mass power electronics unit to drive piezoelectric actuators for flying microrobots.” IEEE Transactions on Power Electronics 33, no. 4 (2018): 3180-3191. [link]

[11] Zhang, Xuan, Mario Lok, Tao Tong, Sae Kyu Lee, Brandon Reagen, Simon Chaput, Pierre-Emile J. Duhamel, Robert J. Wood, David Brooks, and Gu-Yeon Wei. “A Fully Integrated Battery-Powered System-on-Chip in 40-nm CMOS for Closed-Loop Control of Insect-Scale Pico-Aerial Vehicle.” IEEE Journal of Solid-State Circuits (2017). [link]

[10] Lok, Mario, Elizabeth F. Helbling, Xuan Zhang, Robert Wood, David Brooks, and Gu-Yeon Wei. “A Low Mass Power Electronics Unit to Drive Piezoelectric Actuators for Flying Microrobots.” IEEE Transactions on Power Electronics (2017). [link]

[9] Lee, Sae Kyu, Tao Tong, Xuan Zhang, David Brooks, and Gu-Yeon Wei. “A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC–DC Converter.” IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25, no. 4 (2017): 1271-1284. [link]

[8] Tong, Tao, Sae Kyu Lee, Xuan Zhang, David Brooks, and Gu-Yeon Wei. “A fully integrated reconfigurable switched-capacitor DC-DC converter with four stacked output channels for voltage stacking applications.” IEEE Journal of Solid-State Circuits 51, no. 9 (2016): 2142-2152. [link]

[7] X. Zhang, T. Tong, D. Brooks, and G. Wei, “Evaluating Adaptive Clocking for Supply-Noice Resilience in Battery-Powered Aerial Microrobotic System-on-Chip”, Circuits and Systems I: Regular Papers, IEEE Transactions on (TCAS-I), vol. 61, no. 8, 2309-2317, 2014. [Link]

[6] M. Mukadam, O. Gouveia-Filho, N. Krammer, X. Zhang, and A. Apsel, “Low-Power, Minimally Invasive Process Compensation Technique for Sub-Micron CMOS Amplifiers”, Very Large Scale Integration Systems, IEEE Transactions on (TVLSI), vol. 22, no. 1, 1-12, 2014. [Link]

[5] X. Zhang, B. Ni, I. Mukhopadhyay, and A. Apsel, “Improving Absolute Accuracy of Integrated Resistors with Device Diversification”, Circuits and Systems II: Express Briefs, IEEE Transactions on (TCAS-II), vol. 59, no. 6, 346-350, 2012. [Link]

[4] X. Zhang, I. Mukhopadhyay, R. Dokania, and A. Apsel, “A 46μW Self-Calibrated Gigahertz VCO for Low-Power Radios”, Circuits and Systems I: Regular Papers, IEEE Transactions on (TCAS-II), vol. 58, no. 12, 847-851, 2011. [Link]

[3] X. Zhang, M. Mukadam, I. Mukhopadhyay, and A. Apsel, “Process Compensation Loops for High Speed Ring Oscillators in Sub-Micron CMOS”, Emerging and Selected Toptics in Circuits and Systems, IEEE Journal on (JETCAS), vol. 1, no. 1, 59-70, 2011. [Link]

[2] X. Zhang and A. Apsel, “A Low-Power, Process-and-Temperature-Compensated Ring Oscillator with Addition-Based Current Source”, Circuits and Systems I: Regular Papers, IEEE Transactions on (TCAS-I), vol. 58, no. 5, 868-878, 2011. [Link]

[1] A. Pappu, X. Zhang, A. Harrison, and A. Apsel, “Process-Invariant Current Source Design: Methodology and Examples”, Solid-State Circuits, IEEE Journal of (JSSC), vol. 42, no. 10, 2293-2302, 2007. [Link]

CONFERENCES:

[40] T. Ma, W. Cao, F. Qiao, A. Chakrabati, X. Zhang. “HOGEye: Neural Approximation of HOG Feature Extraction in RRAM-Based 3D-Stacked Image Sensors.” Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design. 2022.

[39] L. Ke, U. Gupta, M. Hempsteadis, C.J. Wu, H.H.S. Lee, X. Zhang. “Hercules: Heterogeneity-Aware Inference Serving for At-Scale Personalized Recommendation.” 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, 2022.

[38] W. Xiongm L. Ke, D. Jankov, M. Kounavis, X. Wang, E. Northup, J.A. Yang, et al. “SecNDP: Secure Near-Data Processing with Untrusted Memory.” 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, 2022.

[37] Y. Jiang, H. Zhu, H. Shan, X. Guo, X. Zhang, Y. Jin. “TRRScope: Understanding Target Row Refresh Mechanism for Modern DDR Protection.” 2021 IEEE International Symposium on Hardware Oriented Security and Trust (HOST). IEEE, 2021.

[36] Y. Jiang, H. Zhu, D. Sullivan, X. Guo, X. Zhang, Y. Jin. “Quantifying Rowhammer Vulnerability for DRAM Security.” 2021 58th ACM/IEEE Design Automation Conference (DAC). IEEE, 2021.

[35] A. Boloor, T. Wu, P. Naughton, A. Chakrabarti, X. Zhang, & Y. Vorobeychik. “Can Optical Trojans Assist Adversarial Perturbations?.” Proceedings of the IEEE/CVF International Conference on Computer Vision. 2021.

[34] H. Zhu, X. Guo, Y. Jin, and X. Zhang, “PCBench: Benchmarking of board-level hardware attacks and trojans,” in 26th Asia and South Pacific Design Automation Conference (ASP-DAC), 2021, Acceptance Rate: 34.2%. (Nominated for Best Paper Award)

[33] A. Zou, K. Garimella, B. Lee, C. Gill, and X. Zhang, “F-LEMMA: Fast learning-based energy management for multi-/many-core processors,” in 2nd ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), 2021, (Nominated for Best Paper Award) [link]

[32] A. Boloor, K. Garimella, X. He, C. Gill, Y. Vorobeychik, and X. Zhang, “Attacking vision-basedperception in end-to-end autonomous driving models,” Journal of Systems Architecture (JSA), vol. 110, p. 101 766, 2020. [link]

[31] U. Gupta, C. Wu, X. Wang, M. Naumov, B. Reagen, D. Brooks, B. Cottel, K. Hazelwood, M.Hempstead, B. Jia, H. S. Lee, A. Malevich, D. Mudigere, M. Smelyanskiy, L. Xiong, and X.Zhang, “The architectural implications of facebook’s dnn-based personalized recommendation,” in 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2020, pp. 488–501, Acceptance Rate: 19.4%. [link]

[30] L. Ke, U. Gupta, B. Y. Cho, D. Brooks, V. Chandra, U. Diril, A. Firoozshahian, K. Hazelwood, B. Jia, H. S. Lee, M. Li, B. Maher, D. Mudigere, M. Naumov, M. Schatz, M. Smelyanskiy, X.Wang, B. Reagen, C. Wu, M. Hempstead, and X. Zhang, “RecNMP: Accelerating personalized recommendation with near-memory processing,” in 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), 2020, pp. 790–803, Acceptance Rate: 18.3%. [link]

[29] J. Sun, J. Li, Z. Guo, A. Zou,X. Zhang, K. Agrawal, and S. Baruah, “Real-time scheduling upon a host-centric acceleration architecture with data offloading,” in 2020 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2020, pp. 56–69, Acceptance Rate:25.0%. [link]

[28] W. Yan, F. Tehranipoor, X. Zhang, and J. Chandy, “FLASH: FPGA locality-aware sensitive hashfor nearest neighbor search and clustering application,” in 2020 30th International Conference on Field-Programmable Logic and Applications (FPL), 2020, pp. 249–253, Acceptance Rate:∼29%. [link]

[27] W. Yan, H. Zhu, Z. Yu, F. Tehranipoor, J. Chandy, N. Zhang, and X. Zhang, “Bit2RNG:Leveraging bad-page initialized table with bit-error insertion for true random number generationin commodity flash memory,” in IEEE International Symposium on Hardware Oriented Securityand Trust (HOST), 2020, Acceptance Rate: 28.0%. [link]

[26] J. Yang, A. Boloor, A. Chakrabarti, X. Zhang, and Y. Vorobeychik, “Finding physical adversarialexamples for autonomous driving with fast and differentiable image compositing,” arXiv preprintarXiv:2010.08844, 2020. [link]

[25] H. Zhu, X. Guo, Y. Jin, and X. Zhang, “PowerScout: A security-oriented power delivery network modeling framework for cross-domain side-channel analysis,” in IEEE Asian Hardware Oriented Security and Trust Symposium (AsianHOST), 2020, Acceptance Rate:∼30%, (Best Paper Award) [link]

[24] A. J. Boloor, X. He, C. Gill, Y. Vorobeychik, and X. Zhang, “Simple physical adversarial examples against end-to-end autonomous driving models,” in IEEE International Conference onEmbedded Software and Systems (ICESS), 2019, Acceptance Rate: 24.7%. [link]

[23] Weidong Cao, Liu Ke, Ayan Chakrabarti and Xuan Zhang. Neural Network-Inspired Analog-to-Digital Conversion to Achieve Super-Resolution with Low-Precision RRAM Devices, IEEE/ACM Intenational Conference on Computer-Aided Design (ICCAD), 2019. [link]

[22] Weidong Cao, Xin He, Ayan Chakrabarti and Xuan Zhang. NeuADC: Neural Network-Inspired RRAM-Based Synthesizable Analog-to-Digital Conversion with Reconfigurable Quantization Support, Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 2019. (nominated for Best Paper Award) [link]

[21] Xiaolong Guo, Huifeng Zhu, Yier Jin, and Xuan Zhang, When Capacitors Attack: Formal Method DrivenDesign and Detection of Charge-Domain Trojans, Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 2019. (Best Paper Award, 4 out of 834) [link]

[20] Zou, An, Jingwen Leng, Xin He, Yazhou Zu, Christopher D. Gill, Vijay Janapa Reddi, and Xuan Zhang. “Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs.” In 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 390-402. IEEE, 2018. [link]

[19] He, Xin, Liu Ke, Wenyan Lu, Guihai Yan, and Xuan Zhang. “AxTrain: Hardware-Oriented Neural Network Training for Approximate Inference.” In Proceedings of the International Symposium on Low Power Electronics and Design, ACM, 2018. [link]

[18] Ke, Liu, Xin He, and Xuan Zhang. “NNest: Early-Stage Design Space Exploration Tool for Neural Network Inference Accelerators.” In Proceedings of the International Symposium on Low Power Electronics and Design, p. 4. ACM, 2018. [link]

[17] Gu, Yunfei, Dengxue Yan, Vaibhav Verma, Mircea R. Stan, and Xuan Zhang. “SRAM based opportunistic energy efficiency improvement in dual-supply near-threshold processors.” In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), pp. 1-6. IEEE, 2018. [link]

[16] Zou, An, Jingwen Leng, Xin He, Yazhou Zu, Vijay Janapa Reddi, and Xuan Zhang. “Efficient and reliable power delivery in voltage-stacked manycore system with hybrid charge-recycling regulators.” In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), pp. 1-6. IEEE, 2018. [link]

[15] Kondapalli, Sri Harsha, Xuan Zhang, and Shantanu Chakrabartty. “Variance-based digital logic for energy harvesting Internet-of-Things.” Circuits and Systems (ISCAS), 2017 IEEE International Symposium on. IEEE, 2017. [link]

[14] Zou, An, Jingwen Leng, Yazhou Zu, Tao Tong, Vijay Janapa Reddi, David Brooks, Gu-Yeon Wei, and Xuan Zhang. “Ivory: Early-Stage Design Space Exploration Tool for Integrated Voltage Regulators.” In Proceedings of the 54th Annual Design Automation Conference 2017, p. 1. ACM, 2017. [link]

[13] Lok, Mario, Xuan Zhang, Elizabeth Farrell Helbling, Robert Wood, David Brooks, and Gu-Yeon Wei. “A power electronics unit to drive piezoelectric actuators for flying microrobots.” In Custom Integrated Circuits Conference (CICC), 2015 IEEE, pp. 1-4. IEEE, 2015. [link]

[12] Zhang, Xuan, Mario Lok, Tao Tong, Simon Chaput, Sae Kyu Lee, Brandon Reagen, Hyunkwang Lee, David Brooks, and Gu-Yeon Wei. “A multi-chip system optimized for insect-scale flapping-wing robots.” In VLSI Circuits (VLSI Circuits), 2015 Symposium on, pp. C152-C153. IEEE, 2015. [link]

[11] Lee, Sae Kyu, Tao Tong, Xuan Zhang, David Brooks, and Gu-Yeon Wei. “A 16-core voltage-stacked system with an integrated switched-capacitor DC-DC converter.” In VLSI Circuits (VLSI Circuits), 2015 Symposium on, pp. C318-C319. IEEE, 2015. [link]

[10] B. Reagen, X. Zhang, G.-Y. Wei, and D. Brooks. “From PDF to GDS: Designing the RoboBee SoC”. In: 6th Workshop on Architectural Research Prototyping. 2015. [link]

[9] X. Zhang, D. Brooks, and G. Wei, “A 20μW 10MHz Relaxation Oscillator with Adaptive Bias and Fast Self-Calibration in 40nm CMOS for Micro-Aerial Robotics Application”, Asian Solid-State Circuit Conference (ASSCC), November, 2013. [Link]

[8] X. Zhang, T. Tong, D. Brooks, and G. Wei, “Supply Noise Resilient Adaptive Clocking for Battery-Powered Aerial Microrobotic System-on-Chip in 40nm CMOS”, Custom Integrated Circuits Conference (CICC), September, 2013. [Link]

[7] T. Tong, X. Zhang, D. Brooks, and G. Wei, “A Fully Integrated Battery-Connected Switched-Capacitor 4:1 Voltage Regulator with 70% Peak Efficiency Using Bottom-Plate Charge Recycling”, Custom Integrated Circuits Conference (CICC), September, 2013. [Link]

[6]  X. Zhang, T. Tong, S. Kanev, S. K. Lee, G. Wei, and D. Brooks, “Characterizing and Evaluating Voltage Noise in Multi-Core Near-Threshold Processors”, Low Power Electronics and Design, IEEE International Symposium on (ISLPED), August, 2013. [Link]

[5] X. Zhang, R. Dokania, M. Mukadam, and A. Apsel, “A Successive Approximation Based Process-Invariant Ring Oscillator”, Circuits and Systems, IEEE Symposium on (ISCAS), May, 2010. [Link]

[4] M. Mukadam, X. Zhang, R. Dokania, and A. Apsel, “Process Variation Compensation of a 4.6GHz LNA in 65nm CMOS”, Circuits and Systems, IEEE Symposium on (ISCAS), May, 2010. [Link]

[3] X. Zhang and A. Apsel, “A Low Variation GHz Ring Oscillator with Addition-Based Current Source”, European Solid-State Circuits Conference (ESSCIRC), September, 2009. [Link]

[2] X. Zhang and A. Apsel, “A Process Compensated 3GHz Ring Oscillator”, Circuits and Systems, IEEE Symposium on (ISCAS), May, 2009. [Link]

[1] X. Zhang, A. Pappu, and A. Apsel, “Low Variation Current Source for 90nm CMOS”, Circuits and Systems, IEEE Symposium on (ISCAS), May, 2008. [Link]©2022 Washington University in St. Louis